數字IC設計入門書單

作者:Forever snow

鏈接:你所在領域的入門書單? - 知乎用戶的回答

來源:知乎

著作權歸作者所有,轉載請聯繫作者獲得授權。

修訂說明:

1、2016.11.07,@Kevin Hahn 補充半導體物理與器件相關參考書。感謝!

--------------------------------------------------------------------

寫一下微電子和半導體行業入門的經典書籍,下面大部分書籍原版都是英文,建議大家盡量看英文原版。同時,也有部分書籍內容翔實全面,雖不是大家所著,但也可以作為入門的參考書籍。另外,本文所列書籍都面向數字集成電路方向,其他方向,諸如模擬集成電路、射頻IC、功率器件、工藝、器件等,均未涉及。本文將從理論基礎、晶體管級電路、系統級電路、物理實現、Verilog HDL 、FPGA、處理器設計、數字IC驗證、EDA相關工具、腳本、linux、職業規劃等幾個方面給出入門的書單

最後,寫書不易,請支持正版,支持作者!

多圖,移動端注意流量。

--------------------------------------------------------------------------------------------

  1. 理論基礎類
  • 《半導體物理學(第7版)》。作者:劉恩科,朱秉升,羅晉陞著。出版社:電子工業出版社

說明:這本書被國內大部分高校都採用為半導體物理課程的教材。同時,也是部分高校推薦使用的微電子專業碩士生初試參考書。

  • 《集成電路中的現代半導體器件(英文版)》。

說明:國內外很多高校都採用這本書作為半導體器件的入門教材。本書的作者是美國工程院院士、中國科學院外籍院士、FinFET技術發明人、加州大學伯克利分校教授胡正明。本書的特點是內容簡明扼要,重點突出,深度掌握適宜,講解深入淺出。書中還對一些前沿的器件技術進行了闡述。

  • 《國外名校最新教材精選:半導體器件物理(第3版)》。

說明:這本經典著作在半導體器件領域已經樹立起了先進的學習和參考典範。施敏博士是國際知名的微電子科學技術與半導體器件專家和教育家,為推動微電子人才培養做出了巨大的貢獻。施敏博士在微電子科學技術著作方面舉世聞名,對半導體元件的發展和人才培養方面作出貢獻。由於他在微電子器件及在人才培養方面的貢獻,先後被選為台灣中央研究院院士和美國國家工程院院士;1991年施敏博士得到IEEE電子器件的最高榮譽獎(Ebers獎),稱他在電子元件領域做出了基礎性及前瞻性貢獻。

感謝@Kevin Hahn提供如下補充內容:

半導體物理部分,再推薦兩本書:

1) 半導體物理基礎-黃昆 韓汝琦。這本書篇幅不大,語言淺顯,強調物理圖象和實際應用,很適合固體物理基礎比較薄弱的讀者,但是存在部分印刷錯誤,科學出版社最新的再版也沒有更正,另外,與器件相關的部分內容比較陳舊。

2) 半導體物理學-葉良修。這套書篇幅較大,分上下冊,重點是物理概念的清晰、準確然而卻不晦澀,且在深度和新進展方面有頗多努力,可讀性強,可作為參考書。 半導體器件部分,先說一下施敏博士的《半導體器件物理(第3版)》,這本書的內容設置比較矛盾,有一定深度,卻又深入不足,如果不同步閱讀其他資料,很難用一本書來讀懂主要內容。

個人推薦施博士的另一本書——《半導體器件物理與工藝》,這本書把重點放在集成電路中最常用的半導體結構和器件(PN結/ BJT/ MOSFET),半導體物理部分重點講述載流子及其輸運,並增加了集成電路製造工藝的部分,語言淺顯易懂,有很好的實用性,適合初學者或不必過度鑽研半導體器件的讀者如電路設計工程師。

此外,伯克利的胡正明博士(FINFET發明人)的Modern Semiconductor Devices for Integrated Circuits也相當不錯,這本書對MOS基本原理與電學特性及其在現代集成電路中的應用描述清晰,語言通暢。大陸有引進印刷版(影印和中譯都有),而可貴的是,胡博士將此書的英文電子版及講義放在個人網站供讀者免費下載閱讀。

2.晶體管級電路類

  • 《國外電子與通信教材系列·數字集成電路:電路、系統與設計(第2版)》。

說明:本書由美國加州大學伯克利分校JanM.Rabaey教授撰寫。本書對數字電路基本的MOS器件、連線、邏輯單元等進行了非常詳盡的闡釋。經典入門級教材,國外和國內很多高校都採用這本書作為本科生、研究生等的專業教材。此外,也有部分高校採用該書作為碩士生初試參考教材。

  • 《數字集成電路分析與設計(第2版)》。經典教材之一,美國加州伯克利大學David A. Hodges教授等所著,被國內外多所高校選定為微電子專業本科生和研究生的教材。

  • 超大規模集成電路與系統導論。

說明:本書中對邏輯單元、加法器等的講解很精彩,可以作為以上兩本書的補充參考書。

  •  《CMOS數字集成電路:分析與設計(第4版 英文版)》國內多所院校採用。

3.系統級電路類

  • CPU源代碼分析與晶元設計及Linux系統移植。

說明:本書詳細分析了開放源代碼32位RISC CPU(OR1200)的源代碼、編譯器的移植、Linux操作系統的移植,介紹了CPU源代碼在FPGA上的實現方法,說明了CPU晶元的全定製設計方法。本書的特點是對於OR1200的介紹全面,入門時可作為工具書用。

4.物理實現類

  • 《數字集成電路物理設計》

說明:本書是國內第一本全面、完整介紹當今數字集成電路後端布局布線設計技術的專門教材。應該也是唯一的一本數字集成電路後端設計的書籍,包括中英文書籍。本書的作者是Cadence的資深技術人員,在IC設計界從業近20多年。鑒於作者的工作單位,本書中的主要內容都是基於Cadence的EDA工具。目前這本書已經絕版。

  • 《高級ASIC晶元綜合》(第2版)。說明:本書的作者也是業界資深技術專家,曾就職於多家業界頂級公司。本書基本也是目前少有的基本對晶元綜合進行講解的技術類書籍。本書主要描述了使用Synopsys工具進行ASIC晶元綜合、靜態時序分析等做詳實的闡述和說明。書中大部分內容在design compiler的手冊中都能查到。入門者可結合書和手冊進行學習。

  • 《Static Timing Analysis for Nanometer Designs: A Practical Approach》。

先引用一段原書中概述性的介紹:The book covers topics such as cell timing and power modeling; interconnect modeling and analysis, delay calculation, crosstalk, noise and the chip timing verification using static timing analysis. For each of these topics, the book provides a theoretical background as well as detailed examples to elaborate the concepts. The static timing analysis topics covered start from verification of simple blocks useful for a beginner to this field. The topics then extend to complex nanometer designs with in-depth treatment of concepts such as modeling of on-chip variation, clock gating, half-cycle paths, as well as timing of source-synchronous interfaces such as DDR. The impact of crosstalk on timing and noise is covered as is the usage of hierarchical design methodology.

這本書,堪稱靜態時序分析的聖經,對工藝庫、互連線等基本概念講的很透徹,同時又有當前比較流行的DDR的時序約束分析。入門者可結合本書和Design Compiler、Primetime的手冊進行學習。

  • 《Advanced System-on-Chip Test Design and Optimization》

Design For Test的入門書籍,從設計和架構方面詳細的講述了DFT的基本概念,測試方法以及常見錯誤類型。DFT是在未來幾年微電子很好的就業方向,值得關注。

5.Verilog HDL 類

  • 《輕鬆成為設計高手:Verilog HDL實用精解》。EDA先鋒工作室出品,目前市面上的中文書籍里,少有的幾本能夠從VerilogHDL硬體本質來闡述這種語言的書。只是不知道為什麼作者再版的時候,取了一個看起來風格比較怪異的書名。

    6.FPGA 類
  • 《VLSI數字信號處理系統設計與實現》.

  • 《數字信號處理的FPGA實現》.

以上兩本書是數字信號處理演算法在硬體設計方面的經典書籍。

7.處理器設計類

  • 《計算機體系結構量化研究方法》(英文版·第4版)。最權威和經典的計算機體系結構著作,作者JohnL.Hennessy,斯坦福大學校長,IEEE和DACM會士,美國國家工程研究院院士及美國科學藝術研究院院士,因在RISC技術方面做出的突出貢獻而榮獲T2001年的Eckert—Mauchly獎。同時他也是2001年SeymourCray計算機工程獎得主,並且和本書另外一位作者DavidA.Paterson分享了2000年的IEEEJohnvonNeumann獎。本書適合有一定基礎的研究人員閱讀。入門時,不建議讀。

  • 《圖靈程序設計叢書:CPU自製入門》。本書內容全面,適合入門的初學者,基本上整合了晶元級到板級的軟硬體設計,可以幫助讀者迅速地建立一個底層硬體設計、高效代碼等的軟硬體協同工作的知識體系。本書的譯者 @JonsonXP 也在知乎。

  • 《大型RISC處理器設計:用描述語言Verilog設計VLSI晶元)》

說明:該書是一本系統講述32位RISC微處理器的設計方法和設計過程的著作,其內容基本上涵蓋了RISC微處理器設計的方方面面。本書附帶有光碟,內有書中的實例和代碼。

  • 《大話處理器:處理器基礎知識讀本》

說明:非常好的科普性著作。

以下引用自本書的書評:圖文並茂、生動幽默的處理器科普讀本,全書行文風趣幽默,用類比來解釋晦澀的技術,用圖畫來代替枯燥的文字。本著科技以人為本的理念,《大話處理器:處理器基礎知識讀本》除了技術外,還介紹了大量人物和公司的故事,供大家閑讀。《大話處理器:處理器基礎知識讀本》的主要讀者是IT、通信、電子、半導體行業的從業人員以及學生。喜歡技術的看技術,不喜歡技術的看故事。

8.數字IC 驗證類

  • 《SystemVerilog驗證(測試平台編寫指南原書第2版)》可以作為學習SystemVerilog驗證語言的初級階段讀物。書中描述了語言的工作原理並且包含了很多例子,這些例子演示了如何使用面向對象編程(OOP)的方法建立一個基本的、由覆蓋率驅動並且受約束的隨機分層測試平台。

9.EDA工具相關類

  • 《數字VLSI晶元設計:使用Cadence和Synopsys CAD工具》介紹如何使用Cadence和Synopsys公司的CAD工具來實際設計數字VLSI晶元。讀者通過《數字VLSI晶元設計:使用Cadence和Synopsys CAD工具》可以循序漸進地學習這些CAD工具,並使用這些軟體設計出可製造的數字集成電路晶元。本書附帶有光碟,內有工藝庫、腳本、實例等,供初學者學習使用。

10.腳本類

  • 《Tcl/Tk入門經典(第2版)》介紹了Tcl語言、Tk工具集以及Tcl和C語言結合編程。
  • 《Perl語言入門》。Perl能在絕大多數平台上完成幾乎所有任務,不管是簡單的修修補補,還是大型完備的網路應用。《Perl語言入門(第6版)(中文版)》從最基礎的開始教起,然後逐漸深入,讓你慢慢能夠自行編寫多至128行的程序——如今90%的Perl程序差不多都是這般大小,全書包括輸入與輸出;用正則表達式處理文本;字元串與排序等數章內容。

11.linux 系統類

  • 《鳥哥的Linux私房菜 》內容豐富全面,基本概念的講解非常細緻,深入淺出。各種功能和命令的介紹,都配以大量的實例操作和詳盡的解析。本書是初學者學習Linux不可多得的一本入門好書。

12.職業發展類

  • 胡說IC

本書作者也在知乎 @IC咖啡胡運旺@IC咖啡胡運旺 ,本書內容簡介:本書主要寫給軟體、微電子、通信、自動化、電子工程、半導體工藝、材料、計算機、物理、化學等專業,並有意加入IC行業的同學和剛入IC職場的「菜鳥」們。概述集合了70多位IC圈的CEO、總監、高級經理及資深工程師給出的關於職業發展規劃的建議,行業初學者可通過這本書對整個行業有一個更為全面的了解,也可以作為職業發展規劃指導書。

推薦閱讀:

微電子學與固體電子學學科長江學者分布分析
微電子製造工藝科普(4)? 溝道沉積
對話FPGA(5).FIFO不簡單
從IBM人工神經元說起--相變材料與MEMS

TAG:芯片集成电路 | 微电子 | 半导体 |