數字、模擬電路晶元的區別及其設計的前端和後端的分工區別?


看了上面諸位同仁的回答,感覺大部分回答這個問題的人都是搞模擬的,或者射頻的,所以回答很有失偏頗。

一句話總結上面很多位的言論,就是做數字的可以速成,不需要艱苦的知識積累,技術含量沒有模擬高。模擬工程師越老越牛,數字工程師稍微不注意就被淘汰。

Ok,we admit that,in some sense, the above point is true. 我們也承認,就基礎課數電的好學和模電的難啃,就嚇走一大批想要做模擬的人,還連帶讓學的好模擬的人人瞧不起做數字的人。

不過我首先問大家一句 你懂什麼是數字嗎?君以為數字就單單是把電平看做離散的兩種狀態就行了了? 你以為數字集成電路就是邏輯運算?會算幾個邏輯函數,混個碩士學位,再會編幾句verilog vhdl啥的,就可以拍拍屁股去找那些『門檻很低』的工作了? 如果你是這麼想的,那你真的不懂數字。

數字水不深?
只有在中國這種相比於國際水平來說 數字電路發展極度落後的地方,才會出現這種觀念。(我們需要承認中國模擬的技術先進程度在國際上看應該大概比中國數字強很多)。我們要承認在國內數字確實不容易出人頭地。跟歐美(尤其是美國)相比,就憑那半個世紀之多的積澱,是我們從九十年代末到現在追十年就能追上的?親們知不知道晶體管的發明者後來co-founding了英特爾?(換句話說集成電路就是人家發明的)。知不知道Intel IBM那些巨頭一直引領著最先進的集成工藝的進步,而這些工藝可以商業化之後都會用在最先進的processor上?你知不知道英國劍橋的ARM連個foundry都沒有,光賣自己的IP核 (說白了就一堆代碼)就能躋身於世界IC設計的頂尖之列?你知不知道中國要搞個龍芯,還得用MIPS的架構?(為啥?mips便宜。 英特爾X86架構雄霸市場那麼多年,早壟斷了。AMD都得低頭。你龍芯想用我X86架構吞我市場份額?好啊,先給我交份子錢先。而且是那種多到讓你肉痛的份子錢)你知不知道三大EDA設計公司Cadence Synopsys和Mentor Graphics都是美國的?不管你做模擬做數字,只要你還做IC要用我最先進的工具和後續服務,拿錢來買license。 一句話總結,國內的Digital IC設計現狀(自主研發上),從工藝到設計方法學,從業界到學界的人才,都是全面落後西方的。你就看看去年土生土長的國人在JSSC上發的只有寥寥兩篇文章這可憐的數量就好了。

就因為數字不論工藝還是設計還是人才都全面追不上西方,而且目前來看不太容易改變這個現狀,所以不少國內有志青年 和耐得下性子苦修的人 都跑去搞模擬去了。也有很多朋友一開始選模擬的時候可能並不抵觸數字,不過鑒於帶自己的研究生導師就是做模擬的,也沒別的太多選擇就這麼從了。還有部分童鞋沒什麼主見,人家讓你做什麼就做什麼就被拐跑了。這也是數字人才的問題。

數字博大精深,普通工程師都只是做整個流程的一小塊,如同管中窺豹,模擬是有可能個人英雄主義的,個人可能出成果,也有可能技術入股去創業個人英雄主義一把,因為兩者集成度的巨大差距擺在那裡。 況且,數字流片一次成本太高。你模擬假設只用.35um的工藝留一次能多少個錢?而數字流片失敗一次,從設計到流片至少一千萬沒了。一般人玩不起。這樣才導致國內這樣一種模擬至上的怪圈。

===========以上觀點是為了反駁本問最初的20多個回答 寫於2013年底=================


=============================The meat is below===========================

讓我們現在坦誠的說 一個複雜電子系統中,數模缺一不可。但是數字永遠是運算控制核心,模擬永遠是外圍輔助。模擬精深,數字是博大精深。模擬工程師工作在電路級別,有點像是傳統的電子電氣工程師 關心電路細微的細節和具體物理的實現,數字(前端)工程師理想情況下應該工作在架構/系統級別,對於晶元的性能有整體的認識和把握。

數字IC設計 高強度 工藝更新速度快 需要知識覆蓋面太廣 而且片子集成度太高 所以必須需要團隊戰鬥。如果一個工程師永遠只做你那一小塊,比如做數字前端的只會寫vhdl,做數字後端的只會用Encounter做做placeroute 那你可能也能混口飯吃,因為公司需要大量人手參與到輒集成度高達數十億個晶體管的chip design的teamwork中。 可是你要想要做到精通,做到team leader,你需要精通前端到後端,設計到驗證,下到器件物理上到計算機體系結構的通才。就算你不能了如指掌,原理也要都懂。一個高端數字工程師,一個真正懂Digital VLSI的行家, 先不說要掌握(或者了解)從前端到後端無窮無盡的EDA工具(其中有些license動不動需要公司花 $1million per capita去購買),也暫且不提各種各樣的腳本和專用語言,就算他也不關心外圍輔助的模擬電路具體怎麼設計的和內部工作細節,他起碼首先要對自己目前在做的產品的target technology,工藝,器件特性了如指掌,並且要對微架構和系統性能間的聯繫有深刻認識。比如,採用的工藝節點多少?22nm?65nm?平面工藝還是fin-FET?standard cell的延遲多少?最大fan-out要多大?互聯帶來多大寄生效應?時鐘要多快?加法 乘法多久算完?微架構怎麼定?流水線?多少stage?並行運算?功耗有限制沒?成本控制多少?用市面上那些EDA工具?哪個flow?最後怎麼進行驗證保證流片一次成功?

這些都是經驗活。

模擬IC設計,一般來講工藝沒有數字先進,主要就是集成度低。 我們知道模擬工程師入門門檻高,做好及其困難,而且在國外願意做的人少,所以市場需求,給的價碼極高。但是我們同樣要注意,足夠資深的數字工程師薪資絕對不會比同等資歷的模擬工程師差,當你足夠好的時候你只會賺的更多。前提你足夠好。大規模數字集成電路,驗證 前端 後端 流程 或者EDA工具開發。不管哪個 只要你做的足夠好,薪酬是不愁的。雖然不同的方向天花板有高有低 在上海那些大外企比如NV AMD,當你摸到天花板的時候,年薪破百萬有木有?(當然大部分童鞋離天花板好遠就不做了,各種原因 大家腦補)

最後再補充一句,任何活人的經驗 只要它能被寫成if。。。then 。。。elsif。。的形式,就一定能被計算機執行。任何活人能做出來的『藝術』只要它還有那麼一點點道理可循,就一定能被機械化的複製。電子設計自動化(EDA)的進步, 不管對於已經高度自動化的數字IC設計還是尚在起步的模擬自動IC 都是大勢所趨。其實歐洲早在做數模混合的FPGA,而美國也早開始研究模擬設計的演算法。這只是一個趨勢,我並不是說模擬工程師會被取代 或者 模擬設計技術含量降低,(因為任何時候都需要有人工作在電路級,也需要人來全定製版圖)而是說牛人到最後還是牛人,到時候還是平經驗和智慧說話,所以需要平時不斷積累 學習。

anyway,諸君加油。


本文著作權歸作者所有,轉載請聯繫作者獲得授權。
----------------------------------------------------------------
這兩個問題是同學們考研選擇方向,以及就業選擇崗位最關心的兩個問題。提前了解數字、模擬,前端、後端的區別,對於選擇自己將來職業發展很有必要。

一、數字模擬定義及聯繫

模擬信號的世界:

簡單地說,我們身處的自然界是一個模擬信號的世界。我們每時每刻聽到的聲音、看到的景象、觸摸到的凹凸感,以及周圍環境和物體的溫度、濕度等等,都是模擬信號。還有,諸如物體(飛機、汽車等)移動的軌跡等,也是模擬信號。除此以外,電磁波、微波等人體無法感知的信號,也是模擬信號。

聲波

電磁波


模擬信號能夠非常真實、而且逼真地反映我們所處的物理世界。工作內容與之相關的晶元被稱作模擬晶元。但是,模擬信號存在易衰減、且不易存儲等的特點。而數字信號則剛好相反。

數字信號世界

數字信號:0和1就是一切。經過模擬數字轉換,模擬信號被轉變成更適合被高速處理的、被存儲、不會衰減的0/1信號。純粹處理這些0/1信號的晶元就是數字晶元。

自然界的聲音、圖像、溫度、濕度、運動軌跡等,被採樣和量化後,便轉化成了數字0/1信號的編碼。這樣,我們就可以使用存儲將這些編碼存儲下來,即使過若干年,也能完整的重現。如錄音筆、數碼相機等。更為重要的是,這些存儲的信息,還可以進行信息提取、壓縮等,如Photoshop等。可以說,數字信號的世界,使得人們的生活才會變得如此便捷和豐富多彩。

模擬/數字轉換
模數轉換器ADC和數模轉換器DAC,是數字和模擬世界通信的橋樑。通過ADC,我們可以將模擬信號轉換為數字信號去存儲和處理;通過DAC,我們可以將數字信號轉換為模擬的聲音等,接近完美重現模擬信號的世界。

生活中,大部分電子設備都是模擬和數字信號的集合。以蘋果4S的主板為例:

數字晶元:應用處理器、音頻編解碼器、存儲器。(工藝比較統一,基本上功能都集成到了幾個核心晶元中)

模擬晶元:RF收發器、功率放大器、振蕩器。(工藝各異,每塊晶元的功能相對都比較單一)

二、晶元

晶元功能:
模擬晶元:處理模擬信號。種類細分,且繁多。包括模擬數字轉換晶元(ADC)、放大器晶元、電源管理晶元、PLL等等。
數字晶元:進行邏輯運算。CPU、內存晶元和各種DSP晶元都屬於這一類。

工藝製程:
數字晶元:按照摩爾定律的發展,使用最先進的工藝。現階段是16/14nm。
模擬晶元:模擬晶元一般不要求先進工藝,目前業界仍然用0.18um/0.13um。

設計流程:
數字: 數字前端 --&> 驗證 --&> 綜合 --&> DFT --&> 數字後端 --&> 後仿/Signoff --&> 流片
模擬:電路設計 --&> 模擬 --&> 版圖設計 --&> 後模擬 --&> 流片

設計難點:
數字晶元:晶元規模大,工具運行時間長,工藝要求複雜,需要多團隊共同協作。
模擬晶元:非理想效應過多,需要紮實的基礎知識和豐富的經驗。例如,小信號分析,時域頻域分析。
--------------------------------------------------------------------------------
前後端分工區別
前端:功能實現過程。
後端:物理實現過程。

工作內容:
數字前端:從功能要求到RTL的實現和驗證。
數字後端:利用工具實現自動布局布線。
模擬設計:功能電路的搭建和模擬。
模擬版圖:根據電路定製滿足工藝要求的版圖。

所需技能:
數字前端:懂一種通信協議或者硬體架構;精通verilog語言和前端設計流程,了解FPGA或ASIC平台模擬和調試。
數字後端:熟悉後端流程,時序分析,以及工藝器件基礎知識。
模擬設計:熟悉模擬集成電路原理擁有半導體物理以及製造工藝等專業知識;熟悉電路功能模擬。
模擬版圖:熟悉layout基礎知識,了解不同工藝節點的設計規定則,和電路原理。

設計工具:
數字前端:VCS DC
數字後端:Innovus/ICC2
模擬設計:Cadence模擬平台
模擬版圖:Virtuoso

崗位量:

數字前端:驗證招收人數較多,設計相對較少。

數字後端:招收人數很多。

模擬設計:最近幾年國內招人較少

模擬版圖:較少

--------------------------------------------------------------------------------

希望能夠對題主有所幫助。

--------------------------給自己live做個廣告---------------------------

---我們正在進行中的live

從零學習 TCL 腳本 :https://www.zhihu.com/lives/802481845605400576

TCL 腳本:數字 IC 設計應用篇:https://www.zhihu.com/lives/802479838333128704

---我們的專欄

觀芯志:https://zhuanlan.zhihu.com/c_56408722

---往期live

半導體先進工藝的器件結構和挑戰 : https://www.zhihu.com/lives/789127697296019456

你不了解的微電子行業 : https://www.zhihu.com/lives/756215367029772288

初學者在數字 IC 設計學習中易進入的誤區 : https://www.zhihu.com/lives/748851153051066368


你去水木發表這觀點會被拍死的。就好比幾年前軟體圈流行說什麼搞kernel/driver的比搞php/java門檻高前途好一樣。結果後者去互聯網賺錢去了,前者在苦逼半導體討飯吃


我還是個學生,只能說表面的普及下,深入了解還是邀請@謝丹、@劉竹溪和@Hugh Wang來回答吧。

現在晶元設計一般還是指cmos工藝,當然還有其他的。數字電路晶元就是只把coms管當作開關,也即0和1,當然會有些器件本身寄生因素(電容電感電阻)的影響,但總體上不算功能的必要因素。所以cmos管可以大量採用相同「寬長比」(一種參數),相對模擬而言,集成度高,軟體工具自動布局布線即可滿足要求。而且設計起來可以利用Verilog等語言編程,相對容易上手,可移植性強,穩定性、可靠性高(只有0和1嘛),主流都是把能數字化的都數字化。

而模擬晶元複雜的多,管子的各種寄生因素都要考慮(當然在初步估算時可以不考慮過多的寄生影響),尤其隨著頻率的提高,這些影響慢慢變得佔有不可忽視的地位,本來電路圖只需要一個管子,現在不得不加上電容電阻加以分析(射頻段更為複雜)。所以集成度不高,人工要求高,布局布線也都依賴版圖師的經驗。不過世界環境啥的都是模擬量,所以模擬工程師還是不可取代地~

至於前端後端,因為你問數字模擬區別,我不知道你對這行理解到底多少,希望我能說的簡單明白。前端就當是設計,畫電路圖或者是寫代碼。後端就是把設計變成版圖,就是實物真正出來的樣子(晶元剖開裡面好多層,知乎啥時能上圖哇!!)我還沒做過後端,不過以我的理解,數字模擬的後端大同小異,如上所述,模擬布局布線更依賴人。

員工要求,模擬不單單需要很好的模擬集成電路功底(其實就是基本功,個人理解,它也就是個工具),還得對設計目標原理認識深刻(不然做不出東西哇),還得熟悉cadence這套工具,我學這麼久總是覺得怎麼還有這麼多沒接觸過的東西要學...挺考驗知識面的。數字嘛,verilog啥的硬體語言必要的,那個雖然是編程,如果對底層認識不深刻也就是垃圾代碼,和c語言啥的完全不是一個概念。還有時序約束...Synopsys也是要命的軟體工具,對了,這兩種軟體基本都運行在Linux系統下,所以...

吐槽一句,我都懷疑我能不能畢業...


拋開EDA,那是演算法和軟體的事情——雖然Cadence 和Synopsys 也賣IP;
拋開驗證,測試,產品方案,只談設計本身。
模擬射頻乍看起來貌似高深,但是有多少人只是熟知了常用的名詞,對背後的數學理論完全腦補無能的?
數字上手雖快,RTL敲code 幾乎是只會 if else then……就可以完成behavior,但到了系統級,又有幾個敢說自己輕鬆搞定處理器體系結構的?
什麼做好都不容易。
順便說一句,僱傭市場上的行情只和你直接創造的價值相關,跟難不難沒關係(反例請參照理論物理之流)。諸位腦補無能的,還不快去跳PR 的深坑!


補充:
數字電路和模擬電路相差很大,某種意義上,可以說數字電路更類似軟體,只關心0和1;
而模擬電路才類似傳統意義上的電子電路,關心信號的強弱。

1,數字的前端很類似計算機軟體,所以也分為寫代碼和做驗證兩個部分。設計的內容也是在讀
協議、實現協議和驗證協議的內容上。
數字的後端主要是對EDA設計工具的掌握。基本上就是個讀工具、用工具和保證正確用工具的過程。

2,模擬電路的前端就是電路設計,主要是對各種模擬電路的應用上。考慮到工藝上的差異,這個在成本和性能的取捨很微妙。所以模擬的前端要很多經驗積累。
模擬的後端,主要在版圖上。對元器件的各種效應能了解,後端就能較好掌握。

員工要求,個人覺得不是差異很大。如果喜歡大而壯觀的,可以選擇數字;如果喜歡小而精細的,可以選擇模擬。數字電路美國佔優,日本很少;模擬電路日本也有一席之地,或許是這種體現。(這段只能參考了。)


本人做過若干小系統的archi,偏模擬。

先回答問題,簡單說,數字電路是以電平01作為載體,模擬則是多種多樣的,可以是電壓電流也可以是頻率相位甚至電荷。複雜的話就可以說幾本書了。

個人覺得兩者在分工或者流程上來說,最大的不同是數字電路分工更加細化標準化。作為數電從業者,可以選擇abstract behaviour modeling verification p r,工具上的選擇也更多,發展也更專業深入。而模電,雖然以cadence 為代表的公司一直努力提高eda自動化模塊化的水平,但是更本設計理念還是一直局限在設計,模擬,layout。順便吐槽那個ic6的parametric analysis

這種局限性體現在軟體上,也體現在對從業者的要求上,模電更需要設計人員的全面和經驗。而數電的大部分員工更專註於自己的一塊。尤其是現在soc以及c to verilog的發展,使得更多非電路背景的人,可以參與系統的初始演算法等等。當然現在的實際或者趨勢就是mixed signal 以及大綜合。畢竟數字離不開模擬,模擬離了數字也難有銷路。

對於排名第一的回答,有一些小意見。不能簡單的說數電更複雜,流片成本更高,就造成國內這塊更加落後。微電子這塊,欠賬甚多,而這一行靠的就是積累,不管模電數電,沒有一次次的失敗哪有最後的成功。從工藝到設計到綜合到封裝測試,莫不如此。

什麼更難,可以以歐美最近的流片要求,多少pin 多少頻率多少功耗 就禁止出口。一看就是fpga通用cpu告訴adda以及rf模塊。這裡有模擬也有數字。jssc和isscc,基本上處理器很小一塊-另人欣喜的是國內團隊開始出成績了-,其他基本adcsocrfbio四分天下。當然以jsscisscc來評價也不公平,很多演算法都跑到computing去了。

綜上所述,前途都是光明的,選擇都是多樣的,只要你喜歡這個就能做好。不過如果要賺快錢,出門右轉找it,營銷。


今天無聊,湊個熱鬧。


從另外一個角度給大家一些啟發。


10+年以前,中芯國際剛剛成立,半導體行業在國內正火,被譽為直接用沙子印美鈔的好行當。現在如何? 也是10+年以前,國內IC設計公司遍地開花,現在如何?

從商業的角度看,加入一個未上市的或者幾乎沒有機會上市的IC公司(無論模擬還是數字),基本上你收入不可能有奇蹟發生。IC公司這10多年的收入水平是線性下降的。不要說你已經是高管,你是技術大牛,這年頭連老闆都在找出路呢。


究其原因,還是摩爾定律在起作用。未入行的,或者準備入行的,請一定仔細研究下摩爾定律先。


如果你還不理解,有一個辦法:你可以把你的手機拆掉,或者電腦拆掉,找一下看看這麼複雜的東西都由幾個公司提供的晶元,有幾個數字晶元幾個模擬晶元。


作為一個掙扎在模擬IC混混界兩年多的人來說,如果不是真的喜歡模擬,真是痛苦得一×啊。在國內,模擬的需求量相對小得多,一個一般的數字工程師可以有個體面的工作,一個一般的模擬工程師可能連口飯都混不到。


當然我是連一般的水平都沒有了,只能換個界混。


我屬於回答者的大多數,從事模擬研究和工作的那一類。
模擬和數字只是把集成電路劃分成了兩個比較小的部分而已,若硬是要爭個優劣,感覺就和硬體與軟體一樣,頗為無趣。

模擬電路是偏深度的,需要去摳基本的半導體物理;而數字電路則偏廣度,不會去摳細節,而要深究系統架構。前者好比登山,看起來蠻難的,的確深奧,但也就那回事;後者則如航海,看似風和日麗,待見大浪滔天,才發覺很難到彼岸。

第一的那篇回復,感覺矯枉過正了,尤其是那一串的反問。
論及學術,國內數字和模擬在ISSCC,TCAS,VLSI,等都有不少的文章。JSSCC側重理論,收集的文章多是Analog和RF,拿來參考有失偏頗。總的來說,就學術層面,國內的設計這塊(模擬和數字)以及工藝,感覺水平正在逐步提高。
談及應用,國人的處理器情結太嚴重了,好像只有處理器才能代表集成電路的水平而已(PS,ISSCC上 國內中的還多是處理器)。集成PA,Transceiver等,這些不也是需要攻克的難點么。他們的流片費用也不低:前者GaAs工藝,後者也到40nm了,幾個片上電感夠放很多的數字電路了。
談就業,博士無論模擬還是數字都好說,還是說一下苦逼的小碩吧,反倒是模擬(和RF)就業比較難寫,數字稍好,雖不及軟體。

最後補充一點,模擬能做的事,的確是逐步被數字領域蠶食,這主要是多虧EDA工具的發展(也就是軟體)。但要知道,全定製電路永遠是最後被蠶食的,好比RF,好比高性能乘除法器,對然也只是時間的問題。
這麼一說,要想不被淘汰,只有去從事軟體了……貌似扯遠了。


數字電路晶元是處理數字信號的
模擬電路晶元是處理模擬信號的
兩者僅僅是所處理的信號類型不同而已

數字前端可以看作是實現數字電路邏輯
數字後端包括 floorplanning, place route 甚至邏輯門的實現

模擬不熟但是我所做過的只是畫模擬電路版圖
也許前端是設計模擬電路的各種參數
後端是把參數畫出來..

PS
我個人認為
數字電路的坑在於前端的演算法實現和後端的驗證
之前畢設在 NXP 做數字電路的導師經常說
這些搞演算法的怎麼總想些不好實現的東西..

模擬電路的坑在於高頻模擬電路的模擬結果和實際測試的偏差 (聽做 RF 的人說的)

PPS
我認為雖然模擬是數字的基礎
但是模擬並不比數字高大上
而且我也不同意做不下去模擬的才做數字

還有這句話背後的邏輯很值得推敲

&>&> 你們這些搞數字的,不被模擬虐久了,好像自信又回來了。&<&<

類比一下的話
這些做模擬的還不是被做工藝的虐 ?
這些做工藝的還不是被做物理的虐 ?
更慘的是
這些做 computer science 的竟然被做數字電路的虐?
還有做建築設計師的竟然被造磚的虐?

說大一點 人類之所以能發展到現在
都靠使用前人的知識和技能
並且為後人留下知識和技能
前人的知識技能和當前人的知識和技能
並不是單純的誰虐誰的關係
而是一個繼承和發揚的關係
不同學科之間也不存在誰虐誰
關注的問題不同而已


前面回答很詳細。
以我個人的經驗,數字電路:更standard和自動化;
模擬電路基本就是full custom;
RF段Layout會成為很重要的衡量設計者水平的指標。

做Analog/RF這個方向的,基本就是要多年的時間和積累,就像打後期,要持續不斷的farm堆裝備成型。過程艱辛,但是成功了就是翻盤利器;
做數字的,成型快,對時間積累和經驗要求沒有那麼苛刻,難度也不高。就像是高爆發的法師。缺點就是高爆發的太多,競爭的時候一個不小心就被秒。
各取所好吧。


能製造單片機的公司多得是,但是能做好AD或者電壓基準晶元的公司就非常稀少了。這可以從一個側面體現,創造和製造性能OK的模擬晶元有多難........


模電是body,數電是brain


前兩天,羅錘錘去西電演講,中間有個段子,他對軟體工程師說鎚子科技是軟體驅動的公司,對硬體工程師說鎚子科技是硬體驅動的公司,對產品經理說鎚子是生態驅動的公司。看了評論區那些聲稱模擬萬歲或者數字至上的朋友們,我只想說,都是打工仔,你究竟懂個鎚子。


理解數字電路和模擬電路可以從信號的特點入手。數字信號是離散的,也就是大家說的0和1,在時鐘的制約下,每一時鐘周期內的電平是一定的,數字電路就是為了處理這類信號而設計的。由於其處理的信號單元簡單,如1L所說,穩定性很高,只需要保證在每個管子處理的電平在閾值範圍內就可以算作邏輯正確,因此對寄生的參數也沒有那麼敏感,容易實現高集成度和自動化設計。
模擬信號則不同了,它在時間上是連續的。因此,對於模擬信號的精度的要求是高於數字信號的。一種典型的模擬信號既是手機所需要接受和發送的射頻信號,在高頻下,由於Z=1/jwC,寄生電容的影響會被放大,所以在設計模擬電路,尤其是高頻電路時,MOS管寄生電容的影響會很重要。在線寬越來越小的今天,MOS管的各種短溝道效應會越來越顯著,同時,由於布局布線造成的耦合也會越來越明顯,這些限制造成了模擬電路的面積遠遠大於數字電路。設計時需要嚴格調整寬長比,理解各種trade off,也無形中讓模擬電路的layout成為了一門藝術。目前有一些教授也在嘗試實現模擬電路的CAD,或者通過大數據來進行輔助設計,但是僅僅處於研究階段。
數字前端基本是行為級,RTL級或更高級的設計,雖說硬體描述語言是個好東西,但是好的數字前端設計師在碼代碼的時候心中仍然想的是電路,並且對時序的把握真的很重要。後端是layout,需要更多的考慮物理層面的影響,但與模擬不同,數字的layout大量依靠軟體,畢竟其管子的數量之多已不是人力所能及了。


我第一次玩知乎。
我現在做模擬電路不到兩年。以我現在理解:模擬前端是點路了設計,就是用mos管,三極體,電容,電阻等來搭電路;模擬後端是版圖layout,這個比較容易些,但是也很重要,好的版圖工程師對電路的性能有很大的提高,面積,成本等都有幫助。對員工的要求,我覺得邏輯要清楚。模擬設計我個人覺得難度還是挺大的。


看了樓上的一些前輩對模擬數字的深入討論,學生這裡也發表下自己的理解,僅限個人觀點。我算是接觸過一段時間的模擬,因為老師方向是模擬,可是進入實驗室一段時間後好大部分時間是在做小的數字板級系統,所以後來就索性直接轉到數字。起初我也覺得數字簡單,可是當我做過敲代碼,協議優化,綜合,畫版圖等一系列基礎後,結合自身對板級系統的一些簡單理解,現在的做好數字真的不容易。有人說寫寫代碼,學學工具,這就是數字電路設計,這恐怕太片面了。模擬的確有深度,可是數字何嘗沒有深度,我做過rfid,為什麼同樣的功能有人能做到500門就搞定了,可是有人得5000門,同樣是功耗,為什麼有人做20uw,有人做1個uw,這差距是什麼概念,說數字靠工具,同樣的工具不同的人用差別就這麼大。做不好模擬的人,也未必做得好數字,會敲幾句代碼,會跑兩邊DC就叫數字嗎?做數字的不是不能轉模擬,數字的眼界寬廣,思維更活躍,又何必去專模擬那個尖。看見了深林又何必抱著一根大樹。
從根本說起,又有幾人出發點不是想多賺錢,既然是想多賺錢,又何必用語言去評價數字門檻低。適合什麼做的精通一點就好了


仔細看完前面回答,真是受教。

數字和模擬之間的關係區別應該說的很清楚了
我是學射頻模擬,以前聽老師聽前輩們說模擬電路是藝術,現在也是慢慢有所感受。
數字方面學的不多 不敢亂說。

按我粗淺理解 模擬可以看成是真實世界和數字邏輯之間的一個介面或是橋樑。
數字則是處理從這個介面得到的信息並做出反應
二者缺一不可。

模擬數字的學習過程艱難與否 待遇孰優孰劣
在我看來 其實也不是那麼重要
都是為了認識這個世界 然後做出改變
也還有是有些地方 努力就會有所收穫。


引用前面一樓 Anyway 諸君加油。


其實實際工作當中,不同公司對於員工的要求不同,可能即便同樣是一個數字後端工程師的職位,某A公司由於是生產大規模的晶元,所以要求員工是一顆「螺絲釘」,掌握的知識和能力偏專一,而某B公司由於是從事IP解決方案,所以可能要求員工需要全面了解包括前端在內的知識,掌握知識和能力相對偏全面,當然對於員工自己的職業發展來說兩種模式各有利弊,我這裡不做分析,每個人有自己的見解。我個人理解如果這樣比較會更有可比性。如果是拿模擬和數字比,好像拿學法學的和學醫學的比較一樣,不在同一個範圍或者說不是更準確的標準。


推薦閱讀:

摩爾定律的理論依據是什麼?
神經晶元技術現狀如何?晶元能多大程度上逆向人類神經元?
IBM 為什麼要出售晶元工廠?
中國航天業使用的哪些晶元必須進口,禁售問題如何解決?

TAG:通信 | 晶元集成電路 | 通信工程 |